面向卫星高速数字通信的IQ独立处理基带结构
裴玉奎1,2, 郝浩然3, 苏厉3     
1. 清华大学 宇航技术研究中心, 北京 100084;
2. 深圳清华大学研究院, 深圳 518057;
3. 清华大学 电子工程系, 北京 100084
摘要:提高卫星数字传输的速率要求增大信道带宽,需要接收端的高采样率的模数转换器支持。在极高采样率下,共模(I)、正交(Q)两路使用独立的模数转换器采样,需要分别进行基带处理。针对该应用场景,设计了一种IQ独立处理的基带结构。该结构通过重新设计辅助序列、调整处理顺序、改变处理算法等手段,使频偏估计与定时同步等处理步骤能在IQ数据上独立进行。仿真结果表明:所设计的结构传输速度快,与传统结构的误比特率性能差距在2 dB之内。
关键词卫星通信    基带结构    IQ独立处理    频偏估计    定时同步    
IQ-separated baseband structure for high-speedsatellite digital communication
PEI Yukui1,2, HAO Haoran3, SU Li3     
1. Tsinghua Space Center, Tsinghua University, Beijing 100084, China;
2. Research Institute of Tsinghua University in Shenzhen, Shenzhen 518057, China;
3. Department of Electronic Engineering, Tsinghua University, Beijing 100084, China
Abstract: Increased satellite digital communication rates require larger channel bandwidths which require analog-digital converters (ADCs) with higher sampling rates in the receiver. The in-phase/quadrature (IQ) data have to be sampled separately for ultra-high sampling rates, which requires IQ-separated processing. A baseband structure developed here for IQ-separated processing uses redesigned pilot arrays, an adjusted processing order and modified algorithms that gives separate frequency error estimates and timing synchronization on IQ data. Simulations show that this structure is fast with a bit-error-rate only 2 dB higher than the traditional structure.
Key words: satellite communication     baseband structure     in-phase/quadrature (IQ)-separated process     frequency error estimation     timing synchronization    

卫星数字通信的广泛应用要求不断提高其系统容量,增大系统带宽是提高系统容量的主要途径[1]。目前运行的系统主要工作在mm波的Ka波段和Q/V波段,支持的最大带宽分别约2.5 GHz和5 GHz[2-3];未来的发展方向是进一步提高通信频段,从mm波直至THz波。随着系统带宽的不断增大,相应的基带处理也面临技术挑战。

本研究的背景项目是一个超高速卫星无线数字通信系统,基带带宽达到5 GHz,采用共模(in-phase,Ⅰ)、正交(quadrature,Q)两路调制,突发传输。根据Nyquist采样定理,采样率不低于信号带宽的2倍。因此,在该系统的接收机中,模数转换器(analog-digital converter, ADC)的采样率需要达到IQ两路各10 GS/s。受技术条件限制,目前单个ADC模块最高支持单路10 GS/s的采样率。因此,该系统中IQ数据需要使用相互独立的ADC模块进行采样,这与传统接收机结构使用单一ADC不同,整个系统的基带结构必须重新设计。

传统无线数字通信系统的接收机基带结构相对成熟[4]。对于突发传输通信系统[5],传统结构的接收机对ADC采样后的IQ基带数据依次进行捕获、载波频偏补偿、定时同步、信道均衡及译码输出等基带处理。在IQ使用独立ADC采样的情况下,传统结构由于以下两个问题而不再适用:1) IQ两路的采样数据来自不同的ADC,定时误差不同,需要分别对IQ数据进行定时同步处理;2) ADC输出的过采样数据速率过高,若IQ两路直接合路进行基带处理,数据传输能力将难以满足系统要求。因此,需要分别对IQ数据下采样后再合路处理。

为解决IQ独立采样情况下基带处理问题,本文提出了一种IQ独立处理的接收机基带结构,并着重设计了基带处理中的频偏估计及定时同步算法。本文设计的结构通过构造辅助序列,利用冗余信息与定时误差的特性,对IQ数据独立进行部分基带处理,为超高速数字接收机提供了一种可行的基带结构。

1 系统总体框图

图 1是本文设计的基带结构的系统框图。此基带结构与传统结构有显著区别,主要体现在载波频偏补偿与定时同步的处理顺序先后上。由于频偏补偿是复数域的旋转操作,IQ单路数据无法完成,因此将频偏补偿移动到合路之后;而帧定界等处理步骤中需补偿辅助序列的频偏,需要频偏参数,因此将频偏估计移动到下采样之前。另外,为补偿两路ADC的幅度差异,合路后的数据首先进行自动增益控制(automatic gain control, AGC)处理。

图 1 本文设计的基带结构

本文基带结构的算法设计中需解决两个主要问题:1)频偏估计要求从单路的辅助序列中恢复相位信息。本文第2节的辅助序列设计主要解决此问题。2)在帧定界和定时同步处理中,单路辅助序列的相关峰受到频偏的影响,幅度不稳定。本文3.3、3.4节中的算法设计解决了此问题。

2 辅助序列设计与帧结构 2.1 辅助序列

图 1所示的基带结构中,捕获、频偏估计、帧定界和定时同步都需要利用数据帧中的辅助序列。在图 1的IQ独立处理的结构中,I路只能得到实部的信息,Q路只能得到虚部的信息,而频偏估计和定时同步都需要复数符号的辅助序列。因此,本文设计了一种辅助序列,利用该序列可根据IQ单路的信息近似构造出复数序列。

取一段长度为L的伪随机序列,记为A;将A的各元素在复数域旋转90°,得到的序列记为A′;A'和A的元素存在对应关系,

$ A'\left( k \right) = A\left( k \right){{\rm{e}}^{{\rm{j}}\frac{{\rm{ \mathsf{ π} }}}{{\rm{2}}}}}. $ (1)

可以得到

$ \left\{ \begin{array}{l} {\mathop{\rm Re}\nolimits} \left\{ {A'\left( k \right)} \right\} = - {\mathop{\rm Im}\nolimits} \left\{ {A\left( k \right)} \right\},\\ {\mathop{\rm Im}\nolimits} \left\{ {A'\left( k \right)} \right\} = {\mathop{\rm Re}\nolimits} \left\{ {A\left( k \right)} \right\}. \end{array} \right. $ (2)

将序列AA'的元素交替排列,得到序列X,序列X就是本文设计的辅助序列单元。若干个辅助序列单元组成实际使用的辅助序列。

$ X = \left\{ {A\left( 1 \right),A'\left( 1 \right),A\left( 2 \right),A'\left( 2 \right), \cdots ,A\left( L \right),A'\left( L \right)} \right\}. $ (3)
2.2 使用单路数据构造复序列

序列X的元素的实部和虚部分别对应通信系统中的I路和Q路,有如下关系成立:

$ \begin{array}{*{20}{c}} {A\left( k \right) = {\mathop{\rm Re}\nolimits} \left\{ {X\left( {2k - 1} \right)} \right\} - {\rm{jRe}}\left\{ {X\left( {2k} \right)} \right\} = }\\ {{\rm{Re}}\left\{ {A\left( k \right)} \right\} - {\rm{jRe}}\left\{ {A'\left( k \right)} \right\} = }\\ {{\rm{Re}}\left\{ {A\left( k \right)} \right\} + {\rm{jIm}}\left\{ {A\left( k \right)} \right\},} \end{array} $ (4)
$ \begin{array}{*{20}{c}} {A\left( k \right) = {\mathop{\rm Im}\nolimits} \left\{ {X\left( {2k} \right)} \right\} + {\rm{jIm}}\left\{ {X\left( {2k - 1} \right)} \right\} = }\\ {{\mathop{\rm Im}\nolimits} \left\{ {A'\left( k \right)} \right\} + {\rm{jIm}}\left\{ {A\left( k \right)} \right\} = }\\ {{\rm{Re}}\left\{ {A\left( k \right)} \right\} + {\rm{jIm}}\left\{ {A\left( k \right)} \right\}.} \end{array} $ (5)

根据式(4)和(5)的关系,可用IQ任意一路接收信号中相邻两个实数符号构造出一个复数的辅助序列符号。设I路的接收信号为rI,Q路的接收信号为rQ,则构造出的复辅助序列分别为

$ \left\{ \begin{array}{l} {c_{\rm{I}}}\left( k \right) = {r_{\rm{I}}}\left( {2k - 1} \right) - {\rm{j}}{r_{\rm{I}}}\left( {2k} \right),\\ {c_{\rm{Q}}}\left( k \right) = {r_{\rm{Q}}}\left( {2k} \right) + {\rm{j}}{r_{\rm{Q}}}\left( {2k - 1} \right). \end{array} \right. $ (6)
2.3 帧结构

系统总体的帧结构如图 2所示,包括本文设计的辅助序列、其他辅助序列以及数据共3个部分。

图 2 系统帧结构示意图

数据帧头部是本文设计的辅助序列,由16段连续的序列X后接一段序列-X构成。序列-X由序列X将各元素取相反数得到。在基带结构的各处理步骤中,捕获最多可用4段序列X,载波频偏估计最多可用7段序列X,帧定界使用剩余的序列X(至少1段)及序列-X

在本文设计的辅助序列后面的是其他辅助序列,主要包含信道估计序列,用于均衡处理。

数据位于帧的后部,由若干数据块组成。每个数据块头部有一段较短的辅助序列,该序列采用普通的伪随机序列,不使用式(6)的构造方法,称为序列Y,用于辅助定时同步。

3 基带算法设计

本文主要关注IQ独立处理结构中与传统结构不同的算法,包括捕获、频偏估计、帧定界以及定时同步与下采样。

3.1 捕获

捕获的目的是从接收信号中找到数据帧,并输出与辅助序列对齐的数据,是其他基带处理的前提。捕获的基本原理是将接收信号与辅助序列滑动相关,寻找相关峰的位置从而确定帧头位置。

具体步骤是:将一路接收信号输入相关器与本地辅助序列(序列X)的该路分量进行相关,把相关结果送入最大值搜索单元。最大值搜索采用多次最大值位置确认的处理方法,以辅助序列的长度为搜索单位,搜索得到每段内相关结果的最大值位置;若连续3段的最大值出现在相同位置,就认为捕获成功。从最后那段相关结果的最大值位置后开始,将捕获的数据输出到频偏估计处理步骤。

3.2 频偏估计

频偏估计的作用是估计收发端射频振荡器的频偏值,提供给后续算法进行频偏补偿。频偏估计算法分为两个步骤:复数序列构造和频偏计算。

复数序列构造使用式(6)的方法。以I路为例,输入的接收信号为cI,构造得到的复数序列为cIcI中的每2个符号构成一个cI中的复数符号,cI的符号周期是Ts,因此cI的等效符号周期为2Ts。将cIL个符号分为一段,得到子序列cI1, cI2, …。

频偏计算使用了改进的Kay算法[4, 6-8]。Kay算法的基本思想是等间隔采样,求相邻采样点的相位差,相位差经过平均得到频偏的估计值。增大采样点的间隔,能够以减小估计范围为代价获得较小的估计方差,提高估计精度。

cI的前2段子序列cI1cI2为例,它们有如下关系:

$ {c_{{\rm{I2}}}}\left( k \right) = {c_{{\rm{I1}}}}\left( k \right){{\rm{e}}^{2{\rm{ \mathsf{ π} j}}\Delta fL \cdot 2{T_{\rm{s}}}}} + n\left( k \right). $ (7)

其中:Δf是频偏,即待估计量;Ts是传输的符号周期;n是白Gauss噪声(white Gaussian noise, WGN)。

2段子序列对应元素的相位差为

$ \begin{array}{*{20}{c}} {\Delta {\phi _k} = \arg \left( {{r_2}\left( k \right)r_1^ * \left( k \right)} \right) = }\\ {\arg \left( {{r_1}\left( k \right)r_1^ * \left( k \right){{\rm{e}}^{2{\rm{ \mathsf{ π} j}}\Delta fL \cdot 2{T_{\rm{s}}}}} + n\left( k \right)r_1^ * \left( k \right)} \right).} \end{array} $ (8)

按照Kay算法的思路,将n近似为相位上的WGN,得到相位差的近似值,

$ \begin{array}{*{20}{c}} {\Delta {\phi _k} \approx \arg \left( {{{\rm{e}}^{2{\rm{ \mathsf{ π} j}}\Delta fL \cdot 2{T_{\rm{s}}} + n\left( k \right)}}} \right) = }\\ {2{\rm{ \mathsf{ π} }}\Delta fL \cdot 2{T_{\rm{s}}} + n\left( k \right).} \end{array} $ (9)

对相位差求平均,得到频偏的估计值,

$ \Delta \hat f = \frac{1}{{2{\rm{ \mathsf{ π} }}L \cdot 2{T_{\rm{s}}}}}\arg \left( {\sum\limits_{k = 1}^L {{c_{{\rm{I2}}}}\left( k \right)c_{{\rm{I1}}}^ * \left( k \right)} } \right). $ (10)

本文算法共使用7段子序列,相邻的子序列依次按照式(10)计算频偏,将计算结果的平均值作为最终估计结果。

图 3是IQ独立处理算法和传统算法的频偏估计性能对比。图 3a是估计均值的对比,仿真条件为WGN,信噪比为5 dB。直观看来,估计结果都近似分布在坐标系的对角线上,说明此算法是频偏的一个近似无偏估计。图 3b则是系统误比特率性能对比,在WGN信道的中等载波频偏条件下,两种频偏估计算法的系统性能没有明显差异,说明本文设计的IQ独立处理的频偏估计算法性能良好。

图 3 IQ独立处理频偏估计算法和传统算法的性能对比

3.3 帧定界

帧定界是通过搜索帧头辅助序列中序列-X的位置,来确定后续帧结构的起始点。算法的原理是符号判决。接收信号中序列X部分与本地的序列X逐段相关,-X段的相关值与前面X段的符号相反;根据这一相关值符号的翻转可以定位序列-X,进而确定后续帧结构的起始位置。

但是,在本文设计的结构中,进入帧定界的接收信号尚未补偿频偏,频偏的影响等效于信号上叠加了一个正弦包络;包络过零点两侧符号不同,会使符号判决算法误判,因此进行相关前需要先补偿辅助序列的频偏。

帧定界算法分为3个步骤:

1) 构造复数序列,同样按式(6)进行。以I路为例,构造得到的序列为cI

2) 频偏补偿。补偿序列cI的频偏,得到序列cI',序列cI'与cI的关系为

$ {{c'}_{\rm{I}}} = {c_{\rm{I}}}\left( k \right){{\rm{e}}^{ - 2{\rm{ \mathsf{ π} j}}\Delta \hat f \cdot 2k{T_{\rm{s}}}}}. $ (11)

3) 相关值计算。捕获模块输出的序列是与辅助序列X对齐的。将序列c'I以长度L为单位分段,每段依次与序列X相关。当相邻两段的相关值符号相反时,认为后一段是序列-X,从而找到序列c'I中的定界位置;将此位置与I路接收信号rI中的位置对应,将rI中序列-X之后的部分输出,进行定时同步处理。

3.4 定时同步与下采样

在数字通信系统中,发送端的数模转换器(digital-analog converter, DAC)和接收端的ADC的采样时钟不完全同步,接收端的采样点偏离正确时刻,该误差被称为定时误差。定时同步的作用是补偿定时误差,输出正确采样的信号。下采样的作用是将过采样的接收信号恢复为等于符号速率的信号。在本文设计的算法中,下采样在定时同步过程中完成。

本文使用的定时同步算法属于有数据辅助的前馈算法[4, 9-12],算法复杂度较低,并针对IQ独立处理结构的特点进行了针对性设计。

定时同步分为2个步骤:定时误差估计和定时误差补偿。其中定时误差估计根据辅助序列相关峰的幅度高低分为两种情况处理:

1) 对于幅度较高的相关峰,利用辅助序列相关峰的特性进行定时误差估计。本算法使用的辅助序列是每个数据块头部的序列Y。在2倍过采样下,辅助序列的自相关峰近似为等腰三角形。如图 4所示,图中的三角形是理想的相关峰,底边是以相关峰为中心的5个采样点的理论位置,采样间隔为1;实圆点代表受到定时误差μ影响的实际采样点,其中距离理论相关峰最近的点的相关值记为R(0),其左右相邻采样点的相关值分别记为R(-1)和R(1)。

图 4 定时误差估计原理示意图

图 4根据三角函数关系有

$ \left\{ \begin{array}{l} R\left( 1 \right) + R\left( { - 1} \right) = 2\tan \theta ,\\ R\left( 1 \right) - R\left( { - 1} \right) = - 2\mu \tan \theta . \end{array} \right. $ (12)

定时误差的估计值为

$ \hat \mu = \frac{{R\left( { - 1} \right) - R\left( 1 \right)}}{{R\left( { - 1} \right) + R\left( 1 \right)}}. $ (13)

利用对称性,μ为负数时式(13)依然成立。

2) 对于幅度较低的相关峰,利用定时误差的缓变特性,使用拟合方法得到定时误差的估计值。幅度较低的相关峰是由频偏叠加的包络造成的,其形状不理想,使用式(13)计算出的定时误差不可靠。

拟合的具体方法是:对前若干个数据块的定时误差估计值进行低通滤波处理,去除快变的干扰分量,保留接近真实值的缓变分量,将滤波结果作为当前数据块的定时误差估计值。

定时误差补偿使用Farrow插值方法[13]。从每2个采样点中选择1个作为主采样点,以其为中心进行插值。因此,插值后的序列采样率减半,在补偿定时误差的同时完成了下采样过程。

以I路为例,设输入的接收信号为rI,主采样点rI(k)对应的插值结果为

$ \begin{array}{*{20}{c}} {s\left( k \right) = {C_{ - 2}}{r_{\rm{I}}}\left( {k - 2} \right) + {C_{ - 1}}{r_1}\left( {k - 1} \right) + }\\ {{C_0}{r_{\rm{I}}}\left( k \right) + {C_1}{r_1}\left( {k + 1} \right).} \end{array} $ (14)

式(14)中插值多项式的系数分别为:

$ \left\{ \begin{array}{l} {C_{ - 2}} = \alpha {\mu ^2} - \alpha \mu ,\\ {C_{ - 1}} = - \alpha {\mu ^2} + \left( {\alpha + 1} \right)\mu ,\\ {C_0} = \alpha {\mu ^2} + \left( {\alpha - 1} \right)\mu + 1,\\ {C_1} = \alpha {\mu ^2} - \alpha \mu . \end{array} \right. $ (15)

其中参数$ \alpha = \frac{1}{2} $

3.5 合路后处理

将IQ两路下采样后的数据合路,之后的处理步骤包括AGC、频偏补偿、信道均衡和解调、译码等,这些步骤不受IQ独立处理部分的影响,仍然使用传统结构的算法。

4 性能仿真

使用MATLAB程序对所设计的结构进行系统仿真,仿真的参数设置见表 1。为反映系统的典型应用场景,选择在3组条件下对本文结构与传统结构的误比特率性能进行了仿真对比,具体条件如表 2所示,仿真得到的误比特率曲线如图 5所示。

表 1 系统仿真参数
符号速率 5 GS/s
调制方式 QPSK
过采样率 2
采样频率 10 GHz
编码方式 LDPC
编码效率 3/4
载波频率 60 GHz
信道 AWGN

表 2 系统仿真条件
载波频偏/10-6 定时频偏/10-6
条件1 0 0
条件2 5 5
条件3 10 10

图 5 本文结构与传统结构的误比特率性能对比

载波频偏和定时频偏是影响系统性能的重要因素,也是制约本文设计的结构性能的主要因素,因此是仿真关注的重点。仿真中共设置了3组频偏条件进行性能对比,分别代表了不同程度的频偏。

条件1是参考条件,即非理想因素只有WGN,没有频偏和定时误差,其仿真结果体现算法附加的性能损失。在此条件下本文结构与传统结构的误比特率性能差距约1 dB,主要损失来自单路数据的相关峰功率减半,导致信噪比降低、定时误差估计的误差增大。条件2、3是非理想条件,存在不同程度的载波频偏和定时误差,其仿真结果体现了算法的处理性能。这两组参数下本文结构与传统结构的性能差距均约为2 dB。相对参考情况,额外的性能损失主要来自频偏包络对相关峰幅度的影响。

综上所述,各仿真条件下本文设计的IQ独立处理结构的性能比传统结构稍差,在误比特率达到10-5时信噪比损失约1~2 dB。然而,本文设计的结构支持的通信系统速率提高至少1倍,基带处理上的性能损失在可接受范围内。

5 结论

在超高速卫星数字传输系统中,IQ两路需要使用独立的ADC进行采样,进而需要改变接收机的基带结构。本文针对IQ独立采样造成的同步问题,提出了一种IQ独立处理的接收机基带结构,调整了载波频偏补偿与定时同步的处理顺序,并重点设计了频偏估计和定时同步算法。本文算法通过构造专用的辅助序列从单路数据中恢复相位信息,完成频偏估计;利用定时误差的缓变特性优化了定时同步算法的性能,同时尽可能降低了算法开销。仿真结果表明,本文结构总体性能良好,为超高速卫星无线数字通信系统提供了一种可行的接收机基带结构。

参考文献
[1]
夏克文. 卫星通信[M]. 西安: 西安电子科技大学出版社, 2008.
XIA K W. Satellite communication[M]. Xi'an: Xidian University Press, 2008. (in Chinese)
[2]
VIDAL O, VERELST G, LACAN J, et al. Next generation high throughput satellite system[C]//Proceedings of IEEE First AESS European Conference on Satellite Telecommunications. Rome, Italy, 2012: 1-7.
[3]
PELTON J N. New millimeter, terahertz, and light-wave frequencies for satellite communications[M]//PELTON J N, MADRY S, CAMACHO-LARA S. Handbook of satellite applications. Cham, Switzerland: Springer, 2017: 413-429.
[4]
关响生.面向IEEE 802.11aj的单载波物理层验证平台设计与实现[D].北京: 清华大学, 2015.
GUAN X S. Design and implementation of IEEE 802.11aj SC PHY prototype[D]. Beijing: Tsinghua University, 2015. (in Chinese)
[5]
ROSETI C, LUGLIO M, ZAMPOGNARO F. Analysis and performance evaluation of a burst-based TCP for satellite DVB RCS links[J]. IEEE/ACM Transactions on Networking, 2010, 18(3): 911-921. DOI:10.1109/TNET.2009.2033272
[6]
KAY S. A fast and accurate single frequency estimator[J]. IEEE Transactions on Acoustics, Speech, and Signal Processing, 1989, 37(12): 1987-1990. DOI:10.1109/29.45547
[7]
FITZ M P. Further results in the fast estimation of a single frequency[J]. IEEE Transactions on Communications, 1994, 42(234): 862-864.
[8]
RIFE D, BOORSTYN R. Single tone parameter estimation from discrete-time observations[J]. IEEE Transactions on Information Theory, 1974, 20(5): 591-598. DOI:10.1109/TIT.1974.1055282
[9]
GARDNER F. A BPSK/QPSK timing-error detector for sampled receivers[J]. IEEE Transactions on Communications, 1986, 34(5): 423-429. DOI:10.1109/TCOM.1986.1096561
[10]
FITZ M P. Planar filtered techniques for burst mode carrier synchronization[C]//Proceedings of Global Telecommunications Conference. Phoenix, USA, 1991: 365-369.
[11]
DICK C, HARRIS F, RICE M. Synchronization in software radios. Carrier and timing recovery using FPGAs[C]//Proceedings of IEEE Symposium on Field-Programmable Custom Computing Machines. Napa Valley, USA, 2000: 195-204.
[12]
OERDER M, MEYR H. Digital filter and square timing recovery[J]. IEEE Transactions on Communications, 1988, 36(5): 605-612. DOI:10.1109/26.1476
[13]
HWANG J, CHU C. FPGA implementation of an all-digital T/2-spaced QPSK receiver with Farrow interpolation timing synchronizer and recursive Costas loop[C]//Proceedings of 2004 IEEE Asia-Pacific Conference on Advanced System Integrated Circuits. Fukuoka, Japan, 2004: 248-251.